InicioTarjetas de DesarrolloGuía de instalación de Quartus® Prime 22.1std para FPGA Altera IV Cyclone®

Guía de instalación de Quartus® Prime 22.1std para FPGA Altera IV Cyclone®

INTRODUCCIÓN

En este articulo se muestra una guía de instalación del software Quartus para utilizar un FPGA IV Cyclone de Altera, consiste en llevar al usuario paso a paso para instalar lo necesario para la tarjeta , se complementa con un ejemplo básico para ubicar las principales herramientas que este software ofrece, así como la instalación del driver con el programador USB Blaster.

Materiales

Características del FPGA Altera IV Cyclone

  • Colección de productos : Cyclone® IV E FPGA
  • Fecha de lanzamiento: 2009
  • Elementos Lógicos (LE): 6000
  • Bucles de bloqueo de fase (PLL) de estructura y E/S : 2
  • Memoria máxima incorporada: 270 KB
  • Bloques de procesamiento de señales digitales (DSP) : 15
  • Formato de procesamiento de señal digital (DSP) : Multiplicar
  • Controladores de memoria dura: No
  • Interfaces de memoria externa (EMIF):  DDR, DDR2, DEG
  • Conteo máximo de E/S de usuario: 179
  • Soporte de estándares de E/S: 
    • LVTTL de 3,0 V a 3,3 V, LVCMOS de 1,2 V a 3,3 V, PCI, PCI-X, SSTL, HSTL, SSTL diferencial, HSTL diferencial, LVDS, Mini-LVDS, RSDS, LVPECL, BLVDS, PPDS

Tecnologías avanzadas 

  • Seguridad de flujo de bits FPGA: No
  • Conversor analógico a digital: No
  • Especificaciones del Paquete
  • Opciones del paquete: E144, U256, F256

Herramientas de diseño – Quartus® Prime.

Para utilizar el FPGA Altera Cyclone IV , será necesario contar con la herramienta de diseño hardware: Quartus. Quartus II es una herramienta de software producida por Altera para el análisis y la síntesis de diseños realizados en HDL. También cuenta con métodos poderosos de síntesis lógica, compilación, partición, simulación funcional, simulación en tiempo y simulación enlazada con
varios dispositivos.

Descarga el programa Aquí.

Figura 1: Sitio de Intel®  para descargar Software Quartus® Damos click al primer enlace Altera Cyclone IV board V3.o.zip , posteriormente damos clic en guardar y se descargara el archivo Altera Cyclone IV board V3.0.

Dentro de la página, en el apartado de descargas encontramos las ediciones del software y seleccionamos la versión Lite edition.

Damos clic en el recuadro Descargar para Windows o Descargar para Linux, dependiendo del sistema operativo que maneje su ordenador

Figura 2: Descargar Quartus® Prime Lite Edition para varios sistemas operativos

El archivo se descargara en formato .ZIP con el nombre  Quartus-lite-22-std.2.922-windows  descomprimimos la carpeta para acceder a los archivos de instalación

Figura 3: Carpeta components

Ingresando a la carpeta de Components, ejecutamos como administrador el siguiente archivo.

Figura 4: Archivo de instalación

Continuamos con las instrucciones que no da el programa para iniciar la instalación.

Figura 5: Instalación Quartus

Figura 6: Aceptar los términos de licencia

Se Instalará la carpeta en la siguiente dirección del ordenador C:\intelFPGA_lite\22.1std  presionar Next >

Figura 7: Directorio de Instalación

Siguiendo la instalación dar en Next >

Figura 8: Instalación de componentes

En el siguiente recuadro dar en continuar.

Figura 9: Listo para instalar

Dar clic en Next > e iniciara la instalación del programa.

Figura 10: Instalación

Una vez finalizada la instalación se procederá a dar clic en finalizar.

Figura 11: Finalizar instalación

Seguido de la instalación del software, nos abre un instalador de controladores de dispositivos. Dar clic en siguiente y en la figura 13 darle clic en Finalizar.

Figura 12: Asistente de instalación de controladores de dispositivos

Figura 13: Finalización del asistente de instalación

Con ello se finalizará la instalación, en algunas versiones el programa se inicia automáticamente generando una vista de inicio como se muestra en la siguiente imagen.

Figura 14: Vista de Inicio del software Quartus

Adicional requeriremos el USB Blaster driver.rar y damos clic en guardar, se descarga el archivo USB BLASTER driver y descomprimimos el archivo.

NOTA: Aunque este archivo está disponible, el driver no funciona, se mostrará otra opción efectiva para instalar el driver.

Figura 15: Driver USB Blaster

Instalación del driver USB Blaster.

Para instalar el controlador es necesario ir al Administrador de dispositivos con la tecla Windows + r , escribiendo el comando devmgmt.msc o desde la tecla windows presionar botón derecho y nos desplegara una barra dar clic en administrador de dispositivos.

Como se observa en la figura 16, se detectará el hardware del USB-Blaster para poder cargar nuestros archivos. Es necesario actualizar el controlador.

Figura 16: Administrador de Dispositivos

Para poder instalar el controlador, es necesario ir a la carpeta en donde se instaló el software Quartus. En este caso se instaló en el disco local en la siguiente ubicación C:\intelFPGA_lite

Dar clic derecho y seleccionar actualizar controlador en el icono de USB-Blaster

Figura 17: Actualización de Controlador USB-Blaster

Seleccionar la opción buscar controladores en mi equipo de la figura 17.

Ingresar la ubicación C:\intelFPGA_lite en el recuadro Buscar controladores  para actualizar el controlador de la figura 18. 

Figura 18: Buscar controladores en el equipo

Dar clic en siguiente , al finalizar se actualizará correctamente el controlador del dispositivo USB- Blaster.

Figura 19: Actualización del controlador

El driver o controlador quedará instalado y se ubicará en Controladores de bus serie universal > Altera USB-Blaster tal como se muestra en la figura 20.

Figura 20: Driver USB-Blaster

Crear nuevo Proyecto en Quartus.

Una vez instalado el Software Quartus y el Driver-USB Blaster podemos crear un nuevo ejemplo. Es necesario conectar el dispositivo previamente. 

Crear un nuevo proyecto desde la  barra de superior en File > New project wizard

Figura 21: New project Wizard

Seleccionamos la carpeta en donde se guardará nuestro proyecto y también ponemos en el siguiente recuadro el nombre del proyecto.

Figura 22: Ubicación del proyecto y nombre

Para el tipo de proyecto seleccionamos empty project de la figura 23. Damos clic en Next>.

Figura 23: Tipo de proyecto

En este recuadro no seleccionamos nada, damos clic en siguiente.

Figura 24: Añadir archivos

El siguiente recuadro tiene varias familias de FPGAS, tendremos que buscar en este caso para la ALTERA Cyclone IV que tiene el número de serie EP4CE6E22C8 seleccionamos en Family: Cyclone IV E lo buscamos en el recuadro Name filter de la figura 25, damos clic en Next>.

Figura 25: Familia del Chip 

Como se va a realizar de manera física este recuadro no se agrega nada, así que se selecciona siguiente. 

Figura 26: EDA Tool Settings 

Seguido de este paso, se mostrara un recuadro con las características del proyecto.

Figura 27: Summary

Figura 28: Crear un nuevo Archivo VHDL

En el script del archivo VHDL un ejemplo simple para encender un led. Depuramos el archivo con el botón de depuración que se encuentra en la barra.

Figura 29: Ejemplo VHDL

Compilamos el archivo y visualizamos que no tenga errores.

Figura 30: Compilación del programa Ejemplo1.

En la parte superior , seleccionamos Assignments > Pin Planner para asignar los pines de entrada y salida como se muestra en la figura 31.

Figura 31: Asignación de pines

Una vez seleccionamos Pin planner nos mostrará esta pantalla para poder asignar los pines que se requieran.

Figura 32: Pin Planner

En la documentación previamente descargada abrimos la carpeta 01. Schematic diagram se encontrará el archivo en formato .XML Development board pin information.

Para utilizar el switch 1 (S1) de la placa en la documentación está en la sección Dial Switch con nombre ckey1 y el número de pin es el 88, de la misma manera en la sección LED, el led1 tiene asignado el pin 87.

Figura 33: Pines asignados 

Una vez asignados los pines se tiene que compilar nuevamente el archivo, en la barra superior se selecciona Tools > Programmer 

Figura 34: Barra de herramientas 

Para cargar el archivo es necesario verificar en el recuadro de Hardware Setup que reconozca el programador USB-Blaster [USB-0] como se muestra en el recuadro de la figura 40.

Damos clic en Start para cargar el programa a la tarjeta.

Figura 35: Programmer 

Conexiones de la tarjeta FPGA Cyclone IV

Las conexiones del FPGA Altera son muy simples, consisten en conectar el cable micro USB al programador USB Blaster , después su cable de conexión color gris a la tarjeta por medio del la interfaz JTAG. La tarjeta se alimenta por medio del cable USB 2.0 este también se conecta en otro puerto de la PC, es necesario accionar el botón Rojo para encender la tarjeta.

Normalmente se tiene un programa Demo que indica el buen funcionamiento del dispositivo.

NOTA: No desconectar el programador USB-Blaster cuando este en funcionamiento. Si la tarjeta esta encendida, apague y de permisos en la PC para desconectar los puertos USB.

Figura 36: Conexiones del FPGA hacia la computadora

Conclusiones

La tarjeta FPGA Altera Cyclone IV es de gran utilidad para resolver operaciones lógicas , si ya has trabajado con GAL22V10 u otros dispositivos lógicos programables; será de gran utilidad para realizar proyectos mas robustos y prototipos de nivel industrial dentro del lenguaje de programación VHDL.

Quartus nos ofrece herramientas como depuración en la programación del chip EP4CE22C8N, como asignación de pines desde una interfaz muy amigable y es de gran ayuda ya que simplifica demasiados pasos que otros IDE’s no tienen en conjunto.

El sistema embebido de Altera Cyclone IV cumple con la facilidad de conexiones y de periféricos accesibles como: display de 7 segmentos, buzzer, pulsadores, dip switch, VGA entre otros, los cuales nos ahorran conexiones externas. En conjunto es una excelente opción para implementar sistemas requeridos por alumnos, profesores y en la Industria.

TUTORIALES RELACIONADOS